Vous êtes ici : Réunions » Réunion

Identification

Identifiant: 
Mot de passe : 

Mot de passe oublié ?
Détails d'identification oubliés ?

Approximate Computing: Consommation et Précision dans les SoCs

Nous vous rappelons que, afin de garantir l'accès de tous les inscrits aux salles de réunion, l'inscription aux réunions est gratuite mais obligatoire.

Inscriptions closes à cette réunion.

Inscriptions

11 personnes membres du GdR ISIS, et 11 personnes non membres du GdR, sont inscrits à cette réunion.
Capacité de la salle : 0 personnes.

Annonce

Beaucoup d'applications dans le domaine du traitement du signal et de l'image possèdent des propriétés intrinsèques de résilience aux erreurs ou ne nécessitent pas de délivrer un résultat unique ou exact. Parmi les nombreux exemples, on peut citer les applications vidéo pour lesquelles certaines erreurs peuvent être tolérées, tant qu'elles restent sous le seuil de perception visuelle humaine. A partir de ces observations, ces dernières années ont vu l'émergence d'une solution prometteuse connue sous l'appellation "Approximate Computing": alors que réaliser un calcul exact nécessite la mise en ?uvre de nombreuses ressources, si on autorise une réduction sélective de la précision ou si on tolère des violations occasionnelles des spécifications, alors on peut gagner au moins un ordre de grandeur en puissance consommée.

Nous nous proposons à travers plusieurs présentations d'échanger sur cette thématique émergente et prometteuse.?
L'objectif de cette journée thématique est triple:

Cette journée est co-organisée avec le GDR SOC2

Programme

Le programme de cette journée est le suivant:

Organisateurs

Résumés des contributions

Introduction to Approximate Computing

Alberto BOSIO, LIRMM

A new design paradigm 'approximate computing' was established to investigate how computer systems can be made better 'more energy efficient, faster, and less complex' by relaxing the requirement that they are exactly correct. The purpose of this presentation is to introduce the principles of approximate computing and survey the research conducted in major subareas of approximate computing which are relevant for design and test of digital circuits.

Approximate Computing au niveau algorithmique : cas d'étude de codec vidéo HEVC

Daniel Menard, INSA Rennes, IETR

Les applications de traitement d'images et du signal sont relativement résilientes au bruit ou aux erreurs. Ainsi, la consommation d'énergie de ces systèmes peut être réduite en utilisant des techniques d'Approximate Computing. Celles-ci permettent d'explorer le compromis entre la consommation d'énergie et la qualité du résultat en sortie. Pour exploiter ce concept d'Approximate Computing, trois axes peuvent être investigués pour réaliser l'approximation : les ressources matérielles, les données et les calculs. Dans cette présentation, le troisième axe est considéré à travers les approximations au niveau algorithmique. Deux techniques d'approximations ont été appliquées pour un encodeur vidéo et pour un décodeur vidéo HEVC. Le compromis entre la consommation d'énergie et la qualité obtenue est présenté.

A system-level view on adequate computing: from hardware operators to application use-cases

Anca MOLNOS, CEA-LETI

Adequate computing is an emerging paradigm in which the accuracy of computation results can be traded for, e.g., savings in energy, improvement in performance, at run-time. This talk presents a method to automatically construct adequate functional units. In this approach the functional unit's datapath is partitioned and the threshold voltage scaling is utilised as a knob to dynamically control the power/precision tradeoff.

Furthermore, the model of these operators is integrated together with a CPU in a IoT platform, to perform quick evaluation and simulation of the impact at application level. Results on several benchmarks are presented. The ingredients of how to dynamically tune the precision of an application as well as open future challenges are discussed.

Controlling Inexact Computations at Compile Time and Runtime

Olivier Sentieys, INRIA

Many applications are error-resilient, allowing for the introduction of approximations in the calculations, as long as a certain accuracy target is met. Traditionally, fixed-point arithmetic is used to relax accuracy, by optimizing the bit-width. This arithmetic leads to important benefits in terms of delay, power and area. More recently, several hardware approximate operators were invented, seeking the same performance benefits. However, floating-point can be considered as the most used arithmetic, from embedded devices to high-performance computing (HPC), especially in its 32-bit (float) or 64-bit version (double), but also recently using reduced precision (as an example the use of half, 8-bit precision in deep learning applications). We will first present some compile-time results in terms of energy-efficiency, area, performance versus accuracy of computations when using customized arithmetic (fixed-point, floating-point, inexact operators), and also try to derive some conclusions by comparing the different paradigms. Then, we will highlight some studies about controlling accuracy at runtime with techniques like Dynamic Precision Scaling or Voltage Over- Scaling.

Approximate Computing appliqué au circuit arithmétique. Additionneurs approximatifs et spéculatifs

Vincent Camus EPFL, ICLab

L'addition est l'opérateur arithmétique de base, ainsi que le plus fréquent au sein des processeurs, SoC et autres systèmes numériques. Beaucoup d'efforts ont été réalisés ces dernières décennies pour en améliorer l'architecture au-delà des structures connues telles que Kogge-Stone et Han-Carlson. Porté à la fois par les limites de la loi de Moore et par la résilience des applications multimédia ou duBig Data, l'avènement de l'Approximate Computing a déclenché une nouvelle course au développement d'opérateurs arithmétiques approximatifs, en premier lieu celui d'additionneur.

En effet, en se passant de la nécessité de calculer exact, de nouvelles architectures et méthodes d'optimisation sont possibles, permettant d'atteindre des vitesses de calcul ou efficacités énergétiques au-delà même des limites théoriques imposées aux circuits exacts. Cette présentation a pour but d'exposer et de comparer les récents progrès dans le domaine des additionneurs approximatifs, principalement les circuits spéculatifs, accompagné de démonstrations en traitement d'images.

Inferring CNNs on FPGAs with approximate computing

K.Abdelouahab, DREAM - Institut Pascal, Clermont Ferrand

Deep Convolutional Neural Networks (CNNs) are the state-of-the art techniques for classification and image recognition. While in earlier times, CNNs were designed to maximize accuracy without consideration to energy consumption and implementation complexity, multiple recent approaches try to co-design CNN models and hardware to jointly maximize accuracy and throughput, while minimizing energy and cost. In this case, a minimal CNN accuracy is traded for a better energy efficiency. All these approaches rely on approximate computing to process CNNs, by either reducing the precision of the arithmetic operation (fixed point, stochastic computing, binary neural networks), or reducing the number of operands (pruning neurons, applying separable 2D filters).

This presentation overviews these approaches and highlights their impact on the CNN accuracy as well as computation throughput and energy consumption. More particularly, special attention will be given to FPGA based accelerator for CNNs implementing approximate computing in the inference phase.

Testing & Reliability of Approximate Hardware

Marcello TRAIOLA, LIRMM

In the recent years, Approximate Computing (AxC) has emerged as a new paradigm for energy-efficient design of Integrated Circuits (ICs). Among AxC techniques, functional approximation aims at modifying the circuit structure such that an original function will be replaced by another one whose implementation leads to area/energy reduction at the cost of reduced accuracy. In this context, the role of testing is to ensure that the amount of error (due to manufacturing defects) is not greater than the acceptable error threshold. In this presentation, we propose an approach for generating test vectors targeting approximate ICs. Experimental results are carried out on a publicbenchmark suite to prove the efficiency of the proposed approach.

Date : 2017-11-28

Lieu : Paris - campus Jussieu - Université Pierre et Marie Curie (Paris 6) - tour 26 couloir 26-25 salle 105


Thèmes scientifiques :
C - Algorithme-architecture en traitement du signal et des images

Inscriptions closes à cette réunion.

Accéder au compte-rendu de cette réunion.

(c) GdR IASIS - CNRS - 2024.